Details

SAT-Based Scalable Formal Verification Solutions


SAT-Based Scalable Formal Verification Solutions


Integrated Circuits and Systems

von: Malay Ganai, Aarti Gupta

106,99 €

Verlag: Springer
Format: PDF
Veröffentl.: 26.05.2007
ISBN/EAN: 9780387691671
Sprache: englisch
Anzahl Seiten: 330

Dieses eBook enthält ein Wasserzeichen.

Beschreibungen

<P>Functional verification has become an important aspect of the chip design process. Significant resources, both in industry and academia, are devoted to the design complexity and verification endeavors.</P>
<P></P>
<P><EM>SAT-Based Scalable Formal Verification Solutions</EM> discusses in detail several of the latest and interesting scalable SAT-based techniques including: Hybrid SAT Solver, Customized Bounded/Unbounded Model Checking, Distributed Model Checking, Proofs and Proof-based Abstraction Methods, Verification of Embedded Memory System &amp; Multi-clock Systems, and Synthesis for Verification Paradigm. These techniques have been designed and implemented in a verification platform Verisol (formally called DiVer) and have been used successfully in industry. This book provides algorithmic details and engineering insights into devising scalable approaches for an effective realization. It also includes the authors’ practical experiences and recommendations in verifying the large industry designs using VeriSol.</P>
<P></P>
<P>The book is primarily written for researchers, scientists, and verification engineers who would like to gain an in-depth understanding of scalable SAT-based verification techniques. The book will also be of interest for CAD tool developers who would like to incorporate various SAT-based advanced techniques in their products.</P>
Design Verification Challenges.- Design Verification Challenges.- Background.- Basic Infrastructure.- Efficient Boolean Representation.- Hybrid DPLL-Style SAT Solver.- Falsification.- SAT-Based Bounded Model Checking.- Distributed SAT-Based BMC.- Efficient Memory Modeling in BMC.- BMC for Multi-Clock Systems.- Proof Methods.- Proof by Induction.- Unbounded Model Checking.- Abstraction/Refinement.- Proof-Based Iterative Abstraction.- Verification Procedure.- SAT-Based Verification Framework.- Synthesis for Verification.
<P>Functional verification has become an important aspect of the chip design process. Significant resources, both in industry and academia, are devoted to the design complexity and verification endeavors.</P>
<P></P>
<P><EM>SAT-Based Scalable Formal Verification</EM> Solutions discusses in detail several of the latest and interesting scalable SAT-based techniques including: Hybrid SAT Solver, Customized Bounded/Unbounded Model Checking, Distributed Model Checking, Proofs and Proof-based Abstraction Methods, Verification of Embedded Memory System &amp; Multi-clock Systems, and Synthesis for Verification Paradigm. These techniques have been designed and implemented in a verification platform Verisol (formally called DiVer) and have been used successfully in industry. This book provides algorithmic details and engineering insights into devising scalable approaches for an effective realization. It also includes the authors’ practical experiences and recommendations in verifying the large industry designs using VeriSol.</P>
<P></P>
<P>The book is primarily written for researchers, scientists, and verification engineers who would like to gain an in-depth understanding of scalable SAT-based verification techniques. The book will also be of interest for CAD tool developers who would like to incorporate various SAT-based advanced techniques in their products.</P>
Describes SAT-based model checking approaches and gives engineering details on what makes model checking practical Techniques covered can be synergistically combined into a scalabe solution Focuses on engineering design and not mathematics Includes supplementary material: sn.pub/extras
<P>Functional Verification has become an important aspect of the design process. Significant resources, both in industry and academia, are devoted to bridging the gap between design complexity and verification efforts. This book provides an engineering insight into how to provide a scalable and robust verification solution with ever increasing design complexity and sizes. With growing interests in SAT-based approaches for formal verification and increasing dissatisfaction twoard BDD-based approaches, this book brings together the various SAT-based scalable emerging technologies. Though the approaches described in the book are based on well-founded mathematics, the discussion is generally restricted to the engineering aspect for the ease of readability. Readers will also be exposed to the specific strengths of the various approaches in regard to the applicability.</P>

Diese Produkte könnten Sie auch interessieren:

Netzkulturen
Netzkulturen
von: Josef Bairlein, Christopher Balme, Wolf-Dieter Ernst
PDF ebook
23,20 €
Mixed-Signal Layout Generation Concepts
Mixed-Signal Layout Generation Concepts
von: Chieh Lin, Arthur H.M. van Roermund, Domine Leenaerts
PDF ebook
96,29 €
System-Level Design Techniques for Energy-Efficient Embedded Systems
System-Level Design Techniques for Energy-Efficient Embedded Systems
von: Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles
PDF ebook
96,29 €